Jump to content
The simFlight Network Forums

event.flag() related question


Recommended Posts

Hi Pete,

Is it correct to say that each Lua plug-in has its own set of 256 flags to be independently manipulated and tested?

BTW, there seems to be a minor typo in the event.flag() documentation:

"If no flag number (0 – 256  255) is provided, any of the 256
changing will trigger the event. Otherwise only the selected
flag will do so."

Thx,

Al
 

Link to comment
Share on other sites

4 hours ago, ark1320 said:

Is it correct to say that each Lua plug-in has its own set of 256 flags to be independently manipulated and tested?

Yes.

4 hours ago, ark1320 said:

BTW, there seems to be a minor typo in the event.flag() documentation:

"If no flag number (0 – 256  255) is provided, any of the 256
changing will trigger the event. Otherwise only the selected
flag will do so."

Thanks. will fix for the next re-issue of the document.

Pete

 

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...

Important Information

By using this site, you agree to our Terms of Use. Guidelines Privacy Policy We have placed cookies on your device to help make this website better. You can adjust your cookie settings, otherwise we'll assume you're okay to continue.